Follow
Haoran LI
Haoran LI
NIO.Inc
Verified email at connect.ust.hk
Title
Cited by
Cited by
Year
JADE: A heterogeneous multiprocessor system simulation platform using recorded and statistical application models
RKV Maeda, P Yang, X Wu, Z Wang, J Xu, Z Wang, H Li, LHK Duong, ...
Proceedings of the 1st International Workshop on Advanced Interconnect …, 2016
522016
Modular reinforcement learning for self-adaptive energy efficiency optimization in multicore system
Z Wang, Z Tian, J Xu, RKV Maeda, H Li, P Yang, Z Wang, LHK Duong, ...
2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC), 684-689, 2017
442017
Coherent and incoherent crosstalk noise analyses in interchip/intrachip optical interconnection networks
LHK Duong, Z Wang, M Nikdast, J Xu, P Yang, Z Wang, Z Wang, ...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24 (7 …, 2016
302016
Low-loss high-radix integrated optical switch networks for software-defined servers
Z Wang, Z Wang, J Xu, P Yang, LHK Duong, Z Wang, H Li, RKV Maeda
Journal of Lightwave Technology 34 (18), 4364-4375, 2016
222016
A holistic modeling and analysis of optical–electrical interfaces for inter/intra-chip interconnects
Z Wang, J Xu, P Yang, LHK Duong, Z Wang, X Wang, Z Wang, H Li, ...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24 (7 …, 2016
202016
Improve chip pin performance using optical interconnects
Z Wang, J Xu, P Yang, X Wang, Z Wang, LHK Duong, Z Wang, ...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24 (4 …, 2015
192015
Energy-efficient power delivery system paradigms for many-core processors
H Li, X Wang, J Xu, Z Wang, RKV Maeda, Z Wang, P Yang, LHK Duong, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2016
142016
Multi-device collaborative management through knowledge sharing
Z Tian, Z Wang, H Li, P Yang, RKV Maeda, J Xu
2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC), 22-27, 2018
132018
MOCA: An inter/intra-chip optical network for memory
Z Wang, Z Pang, P Yang, J Xu, X Chen, RKV Maeda, Z Wang, LHK Duong, ...
Proceedings of the 54th Annual Design Automation Conference 2017, 1-6, 2017
132017
Collaborative power management through knowledge sharing among multiple devices
Z Tian, Z Wang, J Xu, H Li, P Yang, RKV Maeda
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2018
112018
Adaptive power delivery system management for many-core processors with on/off-chip voltage regulators
H Li, J Xu, Z Wang, P Yang, RKV Maeda, Z Tian
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017 …, 2017
112017
Shfl-bw: accelerating deep neural network inference with tensor-core aware weight pruning
G Huang, H Li, M Qin, F Sun, Y Ding, Y Xie
Proceedings of the 59th ACM/IEEE Design Automation Conference, 1153-1158, 2022
82022
Workload-aware adaptive power delivery system management for many-core processors
H Li, J Xu, Z Wang, RKV Maeda, P Yang, Z Tian
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2017
72017
A fast joint application-architecture exploration platform for heterogeneous systems
RK Vivas Maeda, P Yang, H Li, Z Tian, Z Wang, Z Wang, X Chen, J Feng, ...
Embedded, Cyber-Physical, and IoT Systems: Essays Dedicated to Marilyn Wolf …, 2020
42020
An Adaptive Process-Variation-Aware Technique for Power-Gating-Induced Power/Ground Noise Mitigation in MPSoC
Z Wang, X Wang, J Xu, H Li, RKV Maeda, Z Wang, P Yang, LHK Duong, ...
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24 (12 …, 2016
42016
Alleviate chip I/O pin constraints for multicore processors through optical interconnects
Z Wang, J Xu, P Yang, X Wang, Z Wang, LHK Duong, Z Wang, H Li, ...
The 20th Asia and South Pacific Design Automation Conference, 791-796, 2015
42015
Chip-specific power delivery and consumption co-management for process-variation-aware manycore systems using reinforcement learning
H Li, Z Tian, J Xu, RKV Maeda, Z Wang, Z Wang
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 28 (5 …, 2020
32020
Co-manage power delivery and consumption for manycore systems using reinforcement learning
H Li, Z Tian, RKV Maeda, X Chen, J Feng, J Xu
2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-8, 2018
32018
Decentralized collaborative power management through multi-device knowledge sharing
Z Tian, H Li, RKV Maeda, J Feng, J Xu
2018 IEEE 36th International Conference on Computer Design (ICCD), 409-412, 2018
32018
Computation unit, related apparatus, and method
G Yijin, F Sun, LUO Junwen, H Li, W Bangyan, G Tianchan, Y Zhang
US Patent App. 17/510,217, 2022
12022
The system can't perform the operation now. Try again later.
Articles 1–20