Follow
Hsuan (Julie) Hsiao
Hsuan (Julie) Hsiao
Other namesHsuan Hsiao
Electrical and Computer Engineering, University of Toronto
Verified email at mail.utoronto.ca
Title
Cited by
Cited by
Year
A survey and evaluation of FPGA high-level synthesis tools
R Nane, VM Sima, C Pilato, J Choi, B Fort, A Canis, YT Chen, H Hsiao, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2015
7332015
Ugemm: Unary computing architecture for gemm applications
D Wu, J Li, R Yin, H Hsiao, Y Kim, J San Miguel
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture …, 2020
432020
The eh model: Early design space exploration of intermittent processor architectures
J San Miguel, K Ganesan, M Badr, C Xia, R Li, H Hsiao, NE Jerger
2018 51st Annual IEEE/ACM International Symposium on Microarchitecture …, 2018
312018
Legup high-level synthesis
A Canis, J Choi, B Fort, B Syrowik, RL Lian, YT Chen, H Hsiao, J Goeders, ...
FPGAs for Software Programmers, 175-190, 2016
302016
Cgra-me: An open-source framework for cgra architecture and cad research
J Anderson, R Beidas, V Chacko, H Hsiao, X Ling, O Ragheb, X Wang, ...
2021 IEEE 32nd International Conference on Application-specific Systems …, 2021
252021
High-level synthesis of software-customizable floating-point cores
S Bansal, H Hsiao, T Czajkowski, JH Anderson
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2018, 37-42, 2018
142018
Thread weaving: Static resource scheduling for multithreaded high-level synthesis
H Hsiao, J Anderson
Proceedings of the 56th Annual Design Automation Conference 2019, 1-6, 2019
92019
uGEMM: Unary computing for GEMM applications
D Wu, J Li, R Yin, H Hsiao, Y Kim, J San Miguel
IEEE Micro 41 (3), 50-56, 2021
82021
Zero correlation error: A metric for finite-length bitstream independence in stochastic computing
H Hsiao, JS Miguel, Y Hara-Azumi, J Anderson
Proceedings of the 26th Asia and South Pacific Design Automation Conference …, 2021
72021
Sensei: An area-reduction advisor for FPGA high-level synthesis
H Hsiao, JH Anderson
2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), 25-30, 2018
72018
Generating stochastic bitstreams
H Hsiao, J Anderson, Y Hara-Azumi
Stochastic Computing: Techniques and Applications, 137-152, 2019
62019
Double-pumping the interconnect for area reduction in coarse-grained reconfigurable arrays
X Wang, T Yu, H Hsiao, J Anderson
2021 IEEE 32nd International Conference on Application-specific Systems …, 2021
32021
Homomorphically encrypted computation using stochastic encodings
H Hsiao, V Lee, B Reagen, A Alaghi
arXiv preprint arXiv:2203.02547, 2022
22022
Streaming Accuracy: Characterizing Early Termination in Stochastic Computing
H Hsiao, J San Miguel, J Anderson
2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC), 320-325, 2022
12022
Making HLS a Bit Wiser: From Standard High-Level Datatypes to Arbitrary Low-Level Bitwidths
H Hsiao
University of Toronto (Canada), 2017
2017
The system can't perform the operation now. Try again later.
Articles 1–15