Follow
NOVO David
Title
Cited by
Cited by
Year
Wear Unleveling: Improving {NAND} Flash Lifetime by Balancing Page Endurance
X Jimenez, D Novo, P Ienne
12th USENIX Conference on File and Storage Technologies (FAST 14), 47-59, 2014
1402014
Sleuth: Automated verification of software power analysis countermeasures
AG Bayrak, F Regazzoni, D Novo, P Ienne
Cryptographic Hardware and Embedded Systems-CHES 2013: 15th International …, 2013
1012013
Hardware system synthesis from domain-specific languages
N George, HJ Lee, D Novo, T Rompf, KJ Brown, AK Sujeeth, M Odersky, ...
2014 24th International Conference on Field Programmable Logic and …, 2014
922014
Selective spanning with fast enumeration: A near maximum-likelihood MIMO detector designed for parallel programmable baseband architectures
M Li, B Bougard, EE Lopez, A Bourdoux, D Novo, L Van Der Perre, ...
2008 IEEE International Conference on Communications, 737-741, 2008
652008
Full-system simulation of big. little multicore architecture for performance and energy exploration
A Butko, F Bruguier, A Gamatié, G Sassatelli, D Novo, L Torres, M Robert
2016 ieee 10th international symposium on embedded multicore/many-core …, 2016
572016
Automatic application of power analysis countermeasures
AG Bayrak, F Regazzoni, D Novo, P Brisk, FX Standaert, P Ienne
IEEE Transactions on Computers 64 (2), 329-341, 2013
572013
Rethinking FPGAs: elude the flexibility excess of LUTs with and-inverter cones
H Parandeh-Afshar, H Benbihi, D Novo, P Ienne
Proceedings of the ACM/SIGDA international symposium on Field Programmable …, 2012
552012
A 200Mbps+ 2.14 nJ/b digital baseband multi processor system-on-chip for SDRs
V Derudder, B Bougard, A Couvreur, A Dewilde, S Dupont, L Folens, ...
2009 Symposium on VLSI Circuits, 292-293, 2009
542009
A coarse-grained array based baseband processor for 100mbps+ software defined radio
B Bougard, B De Sutter, S Rabou, D Novo, O Allam, S Dupont, ...
Proceedings of the conference on Design, automation and test in Europe, 716-721, 2008
512008
Selective flexibility: Creating domain-specific reconfigurable arrays
M Stojilović, D Novo, L Saranovac, P Brisk, P Ienne
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2013
392013
Revisiting and-inverter cones
G Zgheib, L Yang, Z Huang, D Novo, H Parandeh-Afshar, H Yang, ...
Proceedings of the 2014 ACM/SIGDA international symposium on Field …, 2014
372014
Retraining-based timing error mitigation for hardware neural networks
J Deng, Y Fang, Z Du, Y Wang, H Li, O Temam, P Ienne, D Novo, X Li, ...
2015 Design, Automation & Test in Europe Conference & Exhibition (DATE), 593-596, 2015
362015
Mapping a multiple antenna SDM-OFDM receiver on the ADRES coarse-grained reconfigurable processor
D Novo, W Moffat, V Derudder, B Bougard
IEEE Workshop on Signal Processing Systems Design and Implementation, 2005 …, 2005
342005
Optimizing near-ML MIMO detector for SDR baseband on parallel programmable architectures
M Li, B Bougard, W Xu, D Novo, L Van Der Perre, F Catthoor
Proceedings of the conference on Design, automation and test in Europe, 444-449, 2008
322008
Phoenix: Reviving MLC blocks as SLC to extend NAND flash devices lifetime
X Jimenez, D Novo, P Ienne
2013 Design, Automation & Test in Europe Conference & Exhibition (DATE), 226-229, 2013
302013
Design space exploration of LDPC decoders using high-level synthesis
J Andrade, N George, K Karras, D Novo, F Pratas, L Sousa, P Ienne, ...
IEEE Access 5, 14600-14615, 2017
292017
Making domain-specific hardware synthesis tools cost-efficient
N George, D Novo, T Rompf, M Odersky, P Ienne
2013 International Conference on Field-Programmable Technology (FPT), 120-127, 2013
292013
Shortening design time through multiplatform simulations with a portable OpenCL golden-model: the LDPC decoder case
G Falcao, M Owaida, D Novo, M Purnaprajna, N Bellas, CD Antonopoulos, ...
2012 IEEE 20th International Symposium on Field-Programmable Custom …, 2012
292012
Scenario-based fixed-point data format refinement to enable energy-scalable software defined radios
D Novo, B Bougard, A Lambrechts, L Van der Perre, F Catthoor
Proceedings of the conference on Design, automation and test in Europe, 722-727, 2008
272008
Novel energy-efficient scalable soft-output SSFE MIMO detector architectures
R Fasthuber, M Li, D Novo, P Raghavan, L Van Der Perre, F Catthoor
2009 International Symposium on Systems, Architectures, Modeling, and …, 2009
252009
The system can't perform the operation now. Try again later.
Articles 1–20