Follow
L.A. Clevenger
L.A. Clevenger
IBM Research
Verified email at us.ibm.com - Homepage
Title
Cited by
Cited by
Year
Method for air gap interconnect integration using photo-patternable low k material
LA Clevenger, M Darnon, Q Lin, AD Lisi, SV Nitta
US Patent 8,241,992, 2012
3322012
Intelligent wireless power charging system
L Clevenger, T Dalton, L Hsu, C Radens
US Patent 8,024,012, 2011
2862011
Nucleation and growth during reactions in multilayer Al/Ni films: The early stage of Al3Ni formation
E Ma, CV Thompson, LA Clevenger
Journal of applied physics 69 (4), 2211-2218, 1991
2691991
Self‐propagating explosive reactions in Al/Ni multilayer thin films
E Ma, CV Thompson, LA Clevenger, KN Tu
Applied physics letters 57 (12), 1262-1264, 1990
2641990
Self-trimming method on looped patterns
LA Clevenger, LLC Hsu, JA Mandelman, CJ Radens
US Patent 6,632,741, 2003
2632003
The relationship between deposition conditions, the beta to alpha phase transformation, and stress relaxation in tantalum thin films
LA Clevenger, A Mutscheller, JME Harper, C Cabral Jr, K Barmak
Journal of Applied Physics 72 (10), 4918-4924, 1992
2361992
Fuse processing using dielectric planarization pillars
L Clevenger, LLC Hsu, C Narayan, JK Stephens, M Wise
US Patent 6,420,216, 2002
2222002
Method for maximizing air gap in back end of the line interconnect through via landing modification
BD Briggs, LA Clevenger, CJ Penny, M Rizzolo
US Patent 9,837,355, 2017
2172017
Dual damascene structure and method
K Kumar, DC La Tulipe, T Dalton, L Clevenger, A Cowley, E Kaltalioglu, ...
US Patent 7,125,792, 2006
2032006
High performance 14nm SOI FinFET CMOS technology with 0.0174µm2 embedded DRAM and 15 levels of Cu metallization
CH Lin, B Greene, S Narasimha, J Cai, A Bryant, C Radens, V Narayanan, ...
2014 IEEE International Electron Devices Meeting, 3.8. 1-3.8. 3, 2014
1792014
Structure and method for monitoring stress-induced degradation of conductive interconnects
K Chanda, B Agarwala, LA Clevenger, AP Cowley, RG Filippi, JP Gill, ...
US Patent 7,397,260, 2008
1702008
Experimental evidence for nucleation during thin‐film reactions
KR Coffey, LA Clevenger, K Barmak, DA Rudman, CV Thompson
Applied physics letters 55 (9), 852-854, 1989
1531989
Reduction of the C54–TiSi2 phase transformation temperature using refractory metal ion implantation
RW Mann, GL Miles, TA Knotts, DW Rakowski, LA Clevenger, JME Harper, ...
Applied physics letters 67 (25), 3729-3731, 1995
1471995
Comparison of high vacuum and ultra‐high‐vacuum tantalum diffusion barrier performance against copper penetration
LA Clevenger, NA Bojarczuk, K Holloway, JME Harper, C Cabral Jr, ...
Journal of applied physics 73 (1), 300-308, 1993
1431993
Chip packaging system and method using deposited diamond film
LA Clevenger, LL Hsu, LK Wang, TD Yuan
US Patent 6,337,513, 2002
1372002
Nucleation‐limited phase selection during reactions in nickel/amorphous‐silicon multilayer thin films
LA Clevenger, CV Thompson
Journal of applied physics 67 (3), 1325-1333, 1990
1341990
Silicides and local interconnections for high-performance VLSI applications
RW Mann, LA Clevenger, PD Agnello, FR White
IBM Journal of Research and Development 39 (4), 403-417, 1995
1331995
Reaction kinetics of nickel/silicon multilayer films
LA Clevenger, CV Thompson, RC Cammarata, KN Tu
Applied physics letters 52 (10), 795-797, 1988
1321988
Explosive silicidation in nickel/amorphous‐silicon multilayer thin films
LA Clevenger, CV Thompson, KN Tu
Journal of Applied Physics 67 (6), 2894-2898, 1990
1181990
Semiconductor integrated circuit devices having high-Q wafer backside inductors and methods of fabricating same
L Clevenger, TJ Dalton, L Hsu, C Radens, V Ramachandran, KKH Wong, ...
US Patent 7,531,407, 2009
1162009
The system can't perform the operation now. Try again later.
Articles 1–20