Follow
Thomas B. Jablin
Thomas B. Jablin
Google
Verified email at illinois.edu
Title
Cited by
Cited by
Year
Mlperf inference benchmark
VJ Reddi, C Cheng, D Kanter, P Mattson, G Schmuelling, CJ Wu, ...
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture …, 2020
4282020
Ten lessons from three generations shaped google’s tpuv4i: Industrial product
NP Jouppi, DH Yoon, M Ashcraft, M Gottscho, TB Jablin, G Kurian, ...
2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture …, 2021
2652021
Automatic CPU-GPU communication management and optimization
TB Jablin, P Prabhu, JA Jablin, NP Johnson, SR Beard, DI August
Proceedings of the 32nd ACM SIGPLAN conference on Programming language …, 2011
2542011
Lingvo: a modular and scalable framework for sequence-to-sequence modeling
J Shen, P Nguyen, Y Wu, Z Chen, MX Chen, Y Jia, A Kannan, T Sainath, ...
arXiv preprint arXiv:1902.08295, 2019
1972019
Revisiting the sequential programming model for multi-core
M Bridges, N Vachharajani, Y Zhang, T Jablin, D August
40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO …, 2007
1652007
Speculative parallelization using software multi-threaded transactions
A Raman, H Kim, TR Mason, TB Jablin, DI August
Proceedings of the fifteenth International Conference on Architectural …, 2010
1562010
A survey of the practice of computational science
P Prabhu, TB Jablin, A Raman, Y Zhang, J Huang, H Kim, NP Johnson, ...
State of the practice reports, 1-12, 2011
1352011
Dynamically managed data for CPU-GPU architectures
TB Jablin, JA Jablin, P Prabhu, F Liu, DI August
Proceedings of the Tenth International Symposium on Code Generation and …, 2012
1172012
Chai: Collaborative heterogeneous applications for integrated-architectures
J Gómez-Luna, I El Hajj, LW Chang, V García-Floreszx, SG De Gonzalo, ...
2017 IEEE International Symposium on Performance Analysis of Systems and …, 2017
1112017
Decoupled software pipelining creates parallelization opportunities
J Huang, A Raman, TB Jablin, Y Zhang, TH Hung, DI August
Proceedings of the 8th annual IEEE/ACM international symposium on Code …, 2010
862010
Mlperf inference benchmark. In 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA)
VJ Reddi, C Cheng, D Kanter, P Mattson, G Schmuelling, CJ Wu, ...
IEEE, 2020
732020
Revisiting the sequential programming model for the multicore era
MJ Bridges, N Vachharajani, Y Zhang, T Jablin, DI August
IEEE micro 28 (1), 12-20, 2008
572008
Automatically exploiting cross-invocation parallelism using runtime information
J Huang, TB Jablin, SR Beard, NP Johnson, DI August
Proceedings of the 2013 IEEE/ACM International Symposium on Code Generation …, 2013
482013
Multicore compilation strategies and challenges
M Mehrara, T Jablin, D Upton, D August, K Hazelwood, S Mahlke
IEEE Signal Processing Magazine 26 (6), 55-63, 2009
412009
Automatic parallelization of kernels in shared-memory multi-gpu nodes
J Cabezas, L Vilanova, I Gelado, TB Jablin, N Navarro, WW Hwu
Proceedings of the 29th ACM on International Conference on Supercomputing, 3-13, 2015
312015
Warp-aware trace scheduling for gpus
JA Jablin, TB Jablin, O Mutlu, M Herlihy
Proceedings of the 23rd international conference on Parallel architectures …, 2014
302014
Liberty queues for epic architectures
TB Jablin, Y Zhang, JA Jablin, J Huang, H Kim, DI August
Proceedings of the Eigth Workshop on Explicitly Parallel Instruction …, 2010
282010
A collaborative dependence analysis framework
NP Johnson, J Fix, SR Beard, T Oh, TB Jablin, DI August
2017 IEEE/ACM International Symposium on Code Generation and Optimization …, 2017
232017
Triolet: A programming system that unifies algorithmic skeleton interfaces for high-performance cluster computing
C Rodrigues, T Jablin, A Dakkak, WM Hwu
ACM SIGPLAN Notices 49 (8), 247-258, 2014
162014
Automatic execution of single-GPU computations across multiple GPUs
J Cabezas, L Vilanova, I Gelado, TB Jablin, N Navarro, W Hwu
Proceedings of the 23rd international conference on Parallel architectures …, 2014
122014
The system can't perform the operation now. Try again later.
Articles 1–20