Follow
Sanghamitra Roy
Title
Cited by
Cited by
Year
Fort-NoCs: Mitigating the Threat of a Compromised NoC
DM Ancajas, K Chakraborty, S Roy
Proceedings of the The 51st Annual Design Automation Conference on Design …, 2014
1502014
An algorithm for trading off quantization error with hardware resources for MATLAB-based FPGA design
S Roy, P Banerjee
IEEE Transactions on Computers 54 (7), 886-896, 2005
992005
Runtime detection of a bandwidth denial attack from a rogue network-on-chip
R JS, DM Ancajas, K Chakraborty, S Roy
Proceedings of the 9th International Symposium on Networks-on-Chip, 8, 2015
762015
GreenTPU: Improving Timing Error Resilience of a Near-Threshold Tensor Processing Unit
P Pandey, P Basu, K Chakraborty, S Roy
Proceedings of the 56th Annual Design Automation Conference 2019, 173, 2019
522019
Towards graceful aging degradation in NoCs through an adaptive routing algorithm
K Bhardwaj, K Chakraborty, S Roy
Proceedings of the 49th Annual Design Automation Conference, 382-391, 2012
512012
An algorithm for converting floating-point computations to fixed-point in MATLAB based FPGA design
S Roy, P Banerjee
Proceedings of the 41st annual Design Automation Conference, 484-487, 2004
472004
Designing for dark silicon: a methodological perspective on energy efficient systems
J Allred, S Roy, K Chakraborty
Proceedings of the 2012 ACM/IEEE international symposium on Low power …, 2012
412012
Numerically convex forms and their application in gate sizing
S Roy, W Chen, CCP Chen, YH Hu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2007
372007
An MILP-based aging-aware routing algorithm for NoCs
K Bhardwaj, K Chakraborty, S Roy
Proceedings of the Conference on Design, Automation and Test in Europe, 326-331, 2012
352012
Exploring high-throughput computing paradigm for global routing
Y Han, DM Ancajas, K Chakraborty, S Roy
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 22 (1), 155-167, 2013
342013
Exploring high throughput computing paradigm for global routing
Y Han, DM Ancajas, K Chakraborty, S Roy
Computer-Aided Design (ICCAD), 2011 IEEE/ACM International Conference on …, 2011
342011
Analysis and mitigation of NBTI aging in register file: An end-to-end approach
S Kothawade, K Chakraborty, S Roy
2011 12th International Symposium on Quality Electronic Design, 1-7, 2011
342011
Predicting timing violations through instruction-level path sensitization analysis
S Roy, K Chakraborty
Proceedings of the 49th Annual Design Automation Conference, 1074-1081, 2012
312012
Topologically homogeneous power-performance heterogeneous multicore systems
K Chakraborty, S Roy
2011 Design, Automation & Test in Europe, 1-6, 2011
262011
Apparatus and method for designing an architecturally homogeneous power-performance heterogeneous multicore processor using simulated annealing optimization
K Chakraborty, S Roy
US Patent 8,874,941, 2014
252014
Proactive aging management in heterogeneous NoCs through a criticality-driven routing approach
DM Ancajas, K Chakraborty, S Roy
Proceedings of the Conference on Design, Automation and Test in Europe, 1032 …, 2013
232013
Dark silicon aware multicore systems: Employing design automation with architectural insight
JM Allred, S Roy, K Chakraborty
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 22 (5 …, 2014
222014
Optimizing simulated annealing on GPU: A case study with IC floorplanning
Y Han, S Roy, K Chakraborty
2011 12th International Symposium on Quality Electronic Design, 1-7, 2011
222011
ConvexFit: an optimal minimum-error convex fitting and smoothing algorithm with application to gate-sizing
S Roy, W Chen, CCP Chen
Computer-Aided Design, 2005. ICCAD-2005. IEEE/ACM International Conference …, 2005
222005
Wearout resilience in NoCs through an aging aware adaptive routing algorithm
DM Ancajas, K Bhardwaj, K Chakraborty, S Roy
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 23 (2), 369-373, 2015
202015
The system can't perform the operation now. Try again later.
Articles 1–20