Follow
Mahta Mayahinia
Title
Cited by
Cited by
Year
A voltage-controlled, oscillation-based adc design for computation-in-memory architectures using emerging rerams
M Mayahinia, A Singh, C Bengel, S Wiefels, MA Lebdeh, S Menzel, ...
ACM Journal on Emerging Technologies in Computing Systems (JETC) 18 (2), 1-25, 2022
152022
Efficient organization of digital periphery to support integer datatype for memristor-based CIM
M Zahedi, M Mayahinia, MA Lebdeh, S Wong, S Hamdioui
2020 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 216-221, 2020
122020
Workload-aware electromigration analysis in emerging spintronic memory arrays
SM Nair, M Mayahinia, MB Tahoori, M Perumkunnil, H Zahedmanesh, ...
IEEE Transactions on Device and Materials Reliability 21 (2), 258-266, 2021
72021
Process and runtime variation robustness for spintronic-based neuromorphic fabric
ST Ahmed, M Mayahinia, M Hefenbrock, C Münch, MB Tahoori
2022 IEEE European Test Symposium (ETS), 1-2, 2022
62022
Graphene-based interconnect exploration for large SRAM caches for ultrascaled technology nodes
Z Pei, M Mayahinia, HH Liu, M Tahoori, F Catthoor, Z Tokei, C Pan
IEEE Transactions on Electron Devices 70 (1), 230-238, 2022
32022
Reliability of Computing-In-Memory Concepts Based on Memristive Arrays
DJ Wouters, L Brackmann, A Jafari, C Bengel, M Mayahinia, R Waser, ...
2022 International Electron Devices Meeting (IEDM), 5.3. 1-5.3. 4, 2022
32022
Voltage tuning for reliable computation in emerging resistive memories
M Mayahinia, A Jafari, MB Tahoori
2022 IEEE 40th VLSI Test Symposium (VTS), 1-7, 2022
32022
Time-Dependent Electromigration Modeling for Workload-Aware Design-Space Exploration in STT-MRAM
M Mayahinia, M Tahoori, MP Komalan, H Zahedmanesh, K Croes, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2022
32022
Design-time reference current generation for robust spintronic-based neuromorphic architecture
ST Ahmed, M Mayahinia, M Hefenbrock, C Münch, MB Tahoori
ACM Journal on Emerging Technologies in Computing Systems 20 (1), 1-20, 2023
22023
Data Leakage through Self-Terminated Write Schemes in Memristive Caches
J Krautter, M Mayahinia, DRE Gnad, MB Tahoori
2022 27th Asia and South Pacific Design Automation Conference (ASP-DAC), 666-671, 2022
22022
Technology/memory co-design and co-optimization using E-Tree interconnect
Z Pei, M Mayahinia, HH Liu, M Tahoori, F Catthoor, Z Tokei, C Pan
Proceedings of the Great Lakes Symposium on VLSI 2023, 159-162, 2023
12023
Emerging interconnect exploration for SRAM application using nonconventional H-Tree and center-pin access
Z Pei, M Mayahinia, HH Liu, M Tahoori, SM Salahuddin, F Catthoor, ...
2023 24th International Symposium on Quality Electronic Design (ISQED), 1-1, 2023
12023
Analyzing the Electromigration Challenges of Computation in Resistive Memories
M Mayahinia, M Tahoori, M Perumkunnil, K Croes, F Catthoor
2022 IEEE International Test Conference (ITC), 534-538, 2022
12022
Analyzing and Mitigating Sensing Failures in Spintronic-based Computing in Memory
M Mayahinia, C Münch, MB Tahoori
2021 IEEE International Test Conference (ITC), 268-277, 2021
12021
Algorithm to Technology Co-Optimization for CiM-based Hyperdimensional Computing
M Mayahinia, S Thomann, PR Genssler, C Münch, H Amrouch, T Mehdi B
Proceedings of the Conference on Design, Automation & Test in Europe (DATE), 2024
2024
Emerging Technologies in Computing Systems
I Okafor, AK Ramanathan, NR Challapalle, Z Li, V Narayanan, ST Ahmed, ...
ACM Journal on 20 (1), 2024
2024
Special Session-Non-Volatile Memories: Challenges and Opportunities for Embedded System Architectures with Focus on Machine Learning Applications
J Henkel, L Siddhu, L Bauer, J Teich, S Wildermann, M Tahoori, ...
Proceedings of the International Conference on Compilers, Architecture, and …, 2023
2023
Timing-accurate simulation framework for NVM-based compute-in-memory architecture exploration
V Rietz, C Münch, M Mayahinia, M Tahoori
it-Information Technology 65 (1-2), 13-29, 2023
2023
On-chip Electromigration Sensor for Silicon Lifecycle Management of Nanoscale VLSI
M Mayahinia, M Tahoori, G Tshagharyan, G Harutyunyan, Y Zorian
2023 IEEE European Test Symposium (ETS), 1-4, 2023
2023
Power Side-Channel Attacks and Countermeasures on Computation-in-Memory Architectures and Technologies
B Sapui, J Krautter, M Mayahinia, A Jafari, D Gnad, S Meschkov, ...
2023 IEEE European Test Symposium (ETS), 1-6, 2023
2023
The system can't perform the operation now. Try again later.
Articles 1–20