Follow
TAEJOONG SONG
Title
Cited by
Cited by
Year
A 14 nm FinFET 128 Mb SRAM With VEnhancement Techniques for Low-Power Applications
T Song, W Rim, J Jung, G Yang, J Park, S Park, Y Kim, KH Baek, S Baek, ...
IEEE Journal of Solid-State Circuits 50 (1), 158-169, 2014
1812014
A fully integrated UHF-band CMOS receiver with multi-resolution spectrum sensing (MRSS) functionality for IEEE 802.22 cognitive radio applications
J Park, T Song, J Hur, SM Lee, J Choi, K Kim, K Lim, CH Lee, H Kim, ...
IEEE Journal of Solid-State Circuits 44 (1), 258-268, 2008
1162008
A 10 nm FinFET 128 Mb SRAM with assist adjustment system for power, performance, and area optimization
T Song, W Rim, S Park, Y Kim, G Yang, H Kim, S Baek, J Jung, B Kwon, ...
IEEE Journal of Solid-State Circuits 52 (1), 240-249, 2016
1122016
A 7nm FinFET SRAM using EUV lithography with dual write-driver-assist circuitry for low-voltage applications
T Song, J Jung, W Rim, H Kim, Y Kim, C Park, J Do, S Park, S Cho, ...
2018 IEEE International Solid-State Circuits Conference-(ISSCC), 198-200, 2018
682018
SRAM employing virtual rail scheme stable against various process-voltage-temperature variations
TJ Song, JS Choi
US Patent 7,110,317, 2006
592006
Semiconductor integrated circuit, method of designing the same, and method of fabricating the same
TJ Song, P Ko, GH Kim, JH Jung
US Patent 9,026,975, 2015
392015
Semiconductor device
T Song, H Kim, JH Do, B Sanghoon, J Lim, K Jeong
US Patent 9,887,210, 2018
382018
Static random access memory device including write assist circuit and writing method thereof
W Rim, T Song, G Kim, SO Jung, H Jeong
US Patent 9,496,027, 2016
352016
A 122-mW low-power multiresolution spectrum-sensing IC with self-deactivated partial swing techniques
T Song, J Park, SM Lee, J Choi, K Kim, CH Lee, K Lim, J Laskar
IEEE Transactions on Circuits and Systems II: Express Briefs 57 (3), 188-192, 2010
352010
A fully-integrated UHF receiver with multi-resolution spectrum-sensing (MRSS) functionality for IEEE 802.22 cognitive-radio applications
J Park, T Song, J Hur, SM Lee, J Choi, K Kim, J Lee, K Lim, CH Lee, ...
2008 IEEE International Solid-State Circuits Conference-Digest of Technical …, 2008
352008
Negative voltage generator and semiconductor memory device
TJ Song, GH Kim, JS Choi, SH Sim, I Park, CH Lee, H Choi, JH Jung
US Patent 8,934,313, 2015
332015
Semiconductor device
T Song, H Kim, JH Do, B Sanghoon, J Lim, K Jeong
US Patent 10,050,058, 2018
292018
Embedded MRAM macro for eFlash replacement
A Antonyan, S Pyo, H Jung, T Song
2018 IEEE International Symposium on Circuits and Systems (ISCAS), 1-4, 2018
292018
Offset-compensated cross-coupled PFET bit-line conditioning and selective negative bit-line write assist for high-density low-power SRAM
H Jeong, T Kim, Y Yang, T Song, G Kim, H Won, SO Jung
IEEE Transactions on Circuits and Systems I: Regular Papers 62 (4), 1062-1070, 2015
282015
Implementation issues of a wideband multi-resolution spectrum sensing (MRSS) technique for cognitlve radio (CR) systems
J Park, Y Hur, TJ Song, K Kim, J Lee, K Lim, CH Lee, HS Kim, J Laskar
2006 1st International Conference on Cognitive Radio Oriented Wireless …, 2006
282006
Design rule evaluation framework using automatic cell layout generator for design technology co-optimization
K Jo, S Ahn, J Do, T Song, T Kim, K Choi
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 27 (8 …, 2019
272019
Semiconductor device including a gate electrode and a conductive structure
JH Do, S Lee, J Jung, J Lim, G Yang, B Sanghoon, T Song
US Patent 10,541,243, 2020
262020
Semi-active high-efficient CMOS rectifier for wireless power transmission
ST Kim, T Song, J Choi, F Bien, K Lim, J Laskar
2010 IEEE Radio Frequency Integrated Circuits Symposium, 97-100, 2010
262010
Integrated circuit and semiconductor device
H Kim, SW Cho, TJ Song, S Baek
US Patent 9,583,493, 2017
242017
A 14.7Mb/mm2 28nm FDSOI STT-MRAM with Current Starved Read Path, 52Ω/Sigma Offset Voltage Sense Amplifier and Fully Trimmable CTAT Reference
EM Boujamaa, SM Ali, SN Wandji, A Gourio, S Pyo, G Koh, Y Song, ...
2020 IEEE Symposium on VLSI Circuits, 1-2, 2020
232020
The system can't perform the operation now. Try again later.
Articles 1–20