Follow
Qing Dong
Qing Dong
Apple, Inc.
Verified email at umich.edu - Homepage
Title
Cited by
Cited by
Year
A2: Analog malicious hardware
K Yang, M Hicks, Q Dong, T Austin, D Sylvester
2016 IEEE symposium on security and privacy (SP), 18-37, 2016
3002016
15.3 A 351TOPS/W and 372.4 GOPS compute-in-memory SRAM macro in 7nm FinFET CMOS for machine-learning applications
Q Dong, ME Sinangil, B Erbagci, D Sun, WS Khwa, HJ Liao, Y Wang, ...
2020 IEEE International Solid-State Circuits Conference-(ISSCC), 242-244, 2020
2472020
14.2 A physically unclonable function with BER <10−8for robust chip authentication using oscillator collapse in 40nm CMOS
K Yang, Q Dong, D Blaauw, D Sylvester
2015 IEEE International Solid-State Circuits Conference-(ISSCC) Digest of …, 2015
1542015
14.7 a 288µw programmable deep-learning processor with 270kb on-chip weight storage using non-uniform memory hierarchy for mobile intelligence
S Bang, J Wang, Z Li, C Gao, Y Kim, Q Dong, YP Chen, L Fick, X Sun, ...
2017 IEEE International Solid-State Circuits Conference (ISSCC), 250-251, 2017
1262017
8.3 A 553F2 2-transistor amplifier-based Physically Unclonable Function (PUF) with 1.67% native instability
K Yang, Q Dong, D Blaauw, D Sylvester
2017 IEEE International Solid-State Circuits Conference (ISSCC), 146-147, 2017
1092017
Recryptor: A reconfigurable cryptographic cortex-M0 processor with in-memory and near-memory computing for IoT security
Y Zhang, L Xu, Q Dong, J Wang, D Blaauw, D Sylvester
IEEE Journal of Solid-State Circuits 53 (4), 995-1005, 2018
1062018
9.2 A 0.6 nJ− 0.22/+ 0.19° C inaccuracy temperature sensor using exponential subthreshold oscillation dependence
K Yang, Q Dong, W Jung, Y Zhang, M Choi, D Blaauw, D Sylvester
2017 IEEE International Solid-State Circuits Conference (ISSCC), 160-161, 2017
1022017
A 4 + 2T SRAM for Searching and In-Memory Computing With 0.3-V
Q Dong, S Jeloka, M Saligane, Y Kim, M Kawaminami, A Harada, ...
IEEE Journal of Solid-State Circuits 53 (4), 1006-1015, 2017
912017
A 0.3 V VDDmin 4+ 2T SRAM for searching and in-memory computing using 55nm DDC technology
Q Dong, S Jeloka, M Saligane, Y Kim, M Kawaminami, A Harada, ...
2017 Symposium on VLSI Circuits, C160-C161, 2017
892017
A 1Mb 28nm STT-MRAM with 2.8 ns read access time at 1.2 V VDD using single-cap offset-cancelled sense amplifier and in-situ self-write-termination
Q Dong, Z Wang, J Lim, Y Zhang, YC Shih, YD Chih, J Chang, D Blaauw, ...
2018 IEEE International Solid-State Circuits Conference-(ISSCC), 480-482, 2018
862018
A 114-pW PMOS-only, trim-free voltage reference with 0.26% within-wafer inaccuracy for nW systems
Q Dong, K Yang, D Blaauw, D Sylvester
2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits), 1-2, 2016
722016
27.8 a static contention-free single-phase-clocked 24t flip-flop in 45nm for low-power applications
Y Kim, W Jung, I Lee, Q Dong, M Henry, D Sylvester, D Blaauw
2014 IEEE International Solid-State Circuits Conference Digest of Technical …, 2014
702014
A 23-mW face recognition processor with mostly-read 5T memory in 40-nm CMOS
D Jeon, Q Dong, Y Kim, X Wang, S Chen, H Yu, D Blaauw, D Sylvester
IEEE Journal of Solid-State Circuits 52 (6), 1628-1642, 2017
682017
A 1-Mb 28-nm 1T1MTJ STT-MRAM With Single-Cap Offset-Cancelled Sense Amplifier and In Situ Self-Write-Termination
Q Dong, Z Wang, J Lim, Y Zhang, ME Sinangil, YC Shih, YD Chih, ...
IEEE Journal of Solid-State Circuits 54 (1), 231-239, 2018
552018
A 0.04MM316NW Wireless and Batteryless Sensor System with Integrated Cortex-M0+ Processor and Optical Communication for Cellular Temperature Measurement
X Wu, I Lee, Q Dong, K Yang, D Kim, J Wang, Y Peng, Y Zhang, ...
2018 IEEE Symposium on VLSI Circuits, 191-192, 2018
512018
A 28NM integrated true random number generator harvesting entropy from MRAM
K Yang, Q Dong, Z Wang, YC Shih, YD Chih, J Chang, D Blaauw, ...
2018 IEEE Symposium on VLSI Circuits, 171-172, 2018
482018
A self-tuning IoT processor using leakage-ratio measurement for energy-optimal operation
J Lee, Y Zhang, Q Dong, W Lim, M Saligane, Y Kim, S Jeong, J Lim, ...
IEEE Journal of Solid-State Circuits 55 (1), 87-97, 2019
452019
Recryptor: A reconfigurable in-memory cryptographic Cortex-M0 processor for IoT
Y Zhang, L Xu, K Yang, Q Dong, S Jeloka, D Blaauw, D Sylvester
2017 Symposium on VLSI Circuits, C264-C265, 2017
422017
A 1.02 nW PMOS-only, trim-free current reference with 282ppm/° C from− 40° C to 120° C and 1.6% within-wafer inaccuracy
Q Dong, I Lee, K Yang, D Blaauw, D Sylvester
ESSCIRC 2017-43rd IEEE European Solid State Circuits Conference, 19-22, 2017
332017
A 23mW face recognition accelerator in 40nm CMOS with mostly-read 5T memory
D Jeon, Q Dong, Y Kim, X Wang, S Chen, H Yu, D Blaauw, D Sylvester
2015 Symposium on VLSI Circuits (VLSI Circuits), C48-C49, 2015
272015
The system can't perform the operation now. Try again later.
Articles 1–20