Παρακολούθηση
Ryckaert Julien
Ryckaert Julien
Η διεύθυνση ηλεκτρονικού ταχυδρομείου έχει επαληθευτεί στον τομέα imec.be
Τίτλος
Παρατίθεται από
Παρατίθεται από
Έτος
Ultra-wideband channel model for communication around the human body
A Fort, J Ryckaert, C Desset, P De Doncker, P Wambacq, L Van Biesen
IEEE Journal on Selected Areas in Communications 24 (4), 927-933, 2006
3902006
Channel model for wireless communication around human body
J Ryckaert, P De Doncker, R Meys, A de Le Hoye, S Donnay
Electronics letters 40 (9), 1, 2004
3262004
Ultra-wide-band transmitter for low-power wireless body area networks: Design and evaluation
J Ryckaert, C Desset, A Fort, M Badaroglu, V De Heyn, P Wambacq, ...
IEEE transactions on circuits and systems I: Regular papers 52 (12), 2515-2525, 2005
2952005
Human++: autonomous wireless sensors for body area networks
B Gyselinckx, C Van Hoof, J Ryckaert, RF Yazicioglu, P Fiorini, V Leonov
Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005., 13-19, 2005
2382005
Characterization of the ultra wideband body area propagation channel
A Fort, C Desset, J Ryckaert, P De Doncker, L Van Biesen, P Wambacq
2005 IEEE International Conference on Ultra-Wideband, 6 pp., 2005
1732005
A 2-mm0.1–5 GHz Software-Defined Radio Receiver in 45-nm Digital CMOS
V Giannini, P Nuzzo, C Soens, K Vengattaramane, J Ryckaert, M Goffioul, ...
IEEE Journal of Solid-State Circuits 44 (12), 3486-3498, 2009
1552009
The Complementary FET (CFET) for CMOS scaling beyond N3
J Ryckaert, P Schuddinck, P Weckx, G Bouche, B Vincent, J Smith, ...
2018 IEEE Symposium on Vlsi Technology, 141-142, 2018
1442018
A 16mA UWB 3-to-5GHz 20Mpulses/s Quadrature Analog Correlation Receiver in 0.18/spl mu/m CMOS
J Ryckaert, M Badaroglu, V De Heyn, G Van der Plas, P Nuzzo, ...
2006 IEEE International Solid State Circuits Conference-Digest of Technical …, 2006
1242006
Ultra wide-band body area channel model
A Fort, C Desset, J Ryckaert, P De Doncker, L Van Biesen, S Donnay
IEEE International Conference on Communications, 2005. ICC 2005. 2005 4 …, 2005
1242005
Human++: Emerging technology for body area networks
B Gyselinckx, R Borzi, P Mattelaer
Wireless Technologies, 221-240, 2017
942017
Design technology co-optimization for a robust 10nm Metal1 solution for Logic design and SRAM
B Vandewalle, B Chava, S Sakhare, J Ryckaert, M Dusa
Design-Process-Technology Co-optimization for Manufacturability VIII 9053 …, 2014
942014
A 0.65-to-1.4 nJ/burst 3-to-10 GHz UWB all-digital TX in 90 nm CMOS for IEEE 802.15. 4a
J Ryckaert, G Van der Plas, V De Heyn, C Desset, B Van Poucke, ...
IEEE Journal of Solid-State Circuits 42 (12), 2860-2869, 2007
932007
Novel forksheet device architecture as ultimate logic scaling device towards 2nm
P Weckx, J Ryckaert, ED Litta, D Yakimets, P Matagne, P Schuddinck, ...
2019 IEEE International Electron Devices Meeting (IEDM), 36.5. 1-36.5. 4, 2019
902019
A CMOS ultra-wideband receiver for low data-rate communication
J Ryckaert, M Verhelst, M Badaroglu, S D'Amico, V De Heyn, C Desset, ...
IEEE Journal of Solid-State Circuits 42 (11), 2515-2527, 2007
872007
A 2.4 GHz Low-Power Sixth-Order RF Bandpass Converter in CMOS
J Ryckaert, J Borremans, B Verbruggen, L Bos, C Armiento, J Craninckx, ...
IEEE Journal of Solid-State Circuits 44 (11), 2873-2880, 2009
852009
SOP integration and codesign of antennas
S Brebels, J Ryckaert, B Come, S Donnay, W De Raedt, E Beyne, ...
IEEE Transactions on Advanced Packaging 27 (2), 341-351, 2004
852004
Nanowire & nanosheet FETs for ultra-scaled, high-density logic and memory applications
A Veloso, T Huynh-Bao, P Matagne, D Jang, G Eneman, N Horiguchi, ...
Solid-State Electronics 168, 107736, 2020
802020
Extreme scaling enabled by 5 tracks cells: Holistic design-device co-optimization for FinFETs and lateral nanowires
MG Bardon, Y Sherazi, P Schuddinck, D Jang, D Yakimets, P Debacker, ...
2016 IEEE International Electron Devices Meeting (IEDM), 28.2. 1-28.2. 4, 2016
772016
A 0.65-to-1.4 nJ/burst 3-to-10GHz UWB Digital TX in 90nm CMOS for IEEE 802.15. 4a
J Ryckaert, G Van der Plas, V De Heyn, C Desset, G Vanwijnsberghe, ...
2007 IEEE International Solid-State Circuits Conference. Digest of Technical …, 2007
772007
Future logic scaling: Towards atomic channels and deconstructed chips
SB Samavedam, J Ryckaert, E Beyne, K Ronse, N Horiguchi, Z Tokei, ...
2020 IEEE International Electron Devices Meeting (IEDM), 1.1. 1-1.1. 10, 2020
682020
Δεν είναι δυνατή η εκτέλεση της ενέργειας από το σύστημα αυτή τη στιγμή. Προσπαθήστε ξανά αργότερα.
Άρθρα 1–20