Follow
Jhih-Rong Gao
Title
Cited by
Cited by
Year
Design for manufacturing with emerging nanolithography
DZ Pan, B Yu, JR Gao
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2013
1102013
A new lithography hotspot detection framework based on AdaBoost classifier and simplified feature extraction
T Matsunawa, JR Gao, B Yu, DZ Pan
Design-Process-Technology Co-optimization for Manufacturability IX 9427, 201-211, 2015
952015
MOSAIC: Mask optimizing solution with process window aware inverse correction
JR Gao, X Xu, B Yu, DZ Pan
Proceedings of the 51st Annual Design Automation Conference, 1-6, 2014
842014
Methodology for standard cell compliance and detailed placement for triple patterning lithography
B Yu, X Xu, JR Gao, Y Lin, Z Li, CJ Alpert, DZ Pan
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2015
772015
MrDP: Multiple-row detailed placement of heterogeneous-sized cells for advanced nodes
Y Lin, B Yu, X Xu, JR Gao, N Viswanathan, WH Liu, Z Li, CJ Alpert, ...
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2017
752017
PARR: Pin-access planning and regular routing for self-aligned double patterning
X Xu, B Yu, JR Gao, CL Hsu, DZ Pan
ACM Transactions on Design Automation of Electronic Systems (TODAES) 21 (3 …, 2016
752016
NTHU-Route 2.0: a robust global router for modern designs
YJ Chang, YT Lee, JR Gao, PC Wu, TC Wang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2010
742010
A new global router for modern designs
JR Gao, PC Wu, TC Wang
2008 Asia and South Pacific Design Automation Conference, 232-237, 2008
672008
Flexible self-aligned double patterning aware detailed routing with prescribed layout planning
JR Gao, DZ Pan
Proceedings of the 2012 ACM international symposium on International …, 2012
542012
Accurate lithography hotspot detection based on principal component analysis-support vector machine classifier with hierarchical data clustering
B Yu, JR Gao, D Ding, X Zeng, DZ Pan
Journal of Micro/Nanolithography, MEMS, and MOEMS 14 (1), 011003-011003, 2015
472015
Accurate lithography hotspot detection based on PCA-SVM classifier with hierarchical data clustering
JR Gao, B Yu, DZ Pan
Design-Process-Technology Co-optimization for Manufacturability VIII 9053 …, 2014
462014
A fast and stable algorithm for obstacle-avoiding rectilinear Steiner minimal tree construction
PC Wu, JR Gao, TC Wang
2007 Asia and South Pacific Design Automation Conference, 262-267, 2007
462007
Triple patterning lithography (TPL) layout decomposition using end-cutting
B Yu, JR Gao, DZ Pan
Design for Manufacturability through Design-Process Integration VII 8684 …, 2013
412013
A unified framework for simultaneous layout decomposition and mask optimization
Y Ma, W Zhong, S Hu, JR Gao, J Kuang, J Miao, B Yu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2020
312020
Dealing with IC manufacturability in extreme scaling
B Yu, JR Gao, D Ding, Y Ban, J Yang, K Yuan, M Cho, DZ Pan
Proceedings of the International Conference on Computer-Aided Design, 240-242, 2012
312012
AENEID: a generic lithography-friendly detailed router based on post-RET data learning and hotspot detection
D Ding, JR Gao, K Yuan, DZ Pan
Proceedings of the 48th Design Automation Conference, 795-800, 2011
302011
Self-aligned double patterning layout decomposition with complementary e-beam lithography
JR Gao, B Yu, DZ Pan
2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC), 143-148, 2014
272014
Directed self-assembly cut mask assignment for unidirectional design
J Ou, B Yu, JR Gao, DZ Pan
Journal of Micro/Nanolithography, MEMS, and MOEMS 14 (3), 031211-031211, 2015
232015
Directed self-assembly based cut mask optimization for unidirectional design
J Ou, B Yu, JR Gao, DZ Pan, M Preil, A Latypov
Proceedings of the 25th edition on Great Lakes Symposium on VLSI, 83-86, 2015
222015
E-BLOW: E-beam lithography overlapping aware stencil planning for MCC system
B Yu, K Yuan, JR Gao, DZ Pan
Proceedings of the 50th Annual Design Automation Conference, 1-7, 2013
222013
The system can't perform the operation now. Try again later.
Articles 1–20