Follow
Daniel Wong
Title
Cited by
Cited by
Year
KnightShift: Scaling the Energy Proportionality Wall through Server-Level Heterogeneity
D Wong, M Annavaram
Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on …, 2012
1482012
Lulesh programming model and performance ports overview
I Karlin
Lawrence Livermore National Lab.(LLNL), Livermore, CA (United States), 2012
1442012
Warped gates: Gating aware scheduling and power gating for gpgpus
M Abdel-Majeed, D Wong, M Annavaram
Proceedings of the 46th Annual IEEE/ACM International Symposium on …, 2013
892013
Approximating warps with intra-warp operand value similarity
D Wong, NS Kim, M Annavaram
2016 IEEE International Symposium on High Performance Computer Architecture …, 2016
562016
μdpm: Dynamic power management for the microsecond era
CH Chou, LN Bhuyan, D Wong
2019 IEEE International Symposium on High Performance Computer Architecture …, 2019
542019
Dynsleep: Fine-grained power management for a latency-critical data center application
CH Chou, D Wong, LN Bhuyan
Proceedings of the 2016 International Symposium on Low Power Electronics and …, 2016
492016
Peak efficiency aware scheduling for highly energy proportional servers
D Wong
ACM SIGARCH Computer Architecture News 44 (3), 481-492, 2016
472016
Implications of high energy proportional servers on cluster-wide energy proportionality
D Wong, M Annavaram
2014 IEEE 20th international symposium on high performance computer …, 2014
442014
CORF: Coalescing operand register file for GPUs
H Asghari Esfeden, F Khorasani, H Jeon, D Wong, N Abu-Ghazaleh
Proceedings of the Twenty-Fourth International Conference on Architectural …, 2019
422019
Wireframe: Supporting data-dependent parallelism through dependency graph execution in gpus
AA Abdolrashidi, D Tripathy, ME Belviranli, LN Bhuyan, D Wong
Proceedings of the 50th Annual IEEE/ACM International Symposium on …, 2017
392017
Gpu-nest: Characterizing energy efficiency of multi-gpu inference servers
A Jahanshahi, HZ Sabzi, C Lau, D Wong
IEEE Computer Architecture Letters 19 (2), 139-142, 2020
382020
Frequency regulation service provision in data center with computational flexibility
W Wang, A Abdolrashidi, N Yu, D Wong
Applied Energy 251, 113304, 2019
342019
Adaptive and Speculative Slack Simulations of CMPs on CMPs
J Chen, L Kumar Dabbiru, D Wong, M Annavaram, M Dubois
Microarchitecture (MICRO), 2010 43rd Annual IEEE/ACM International Symposium …, 2010
342010
Teaching artificial intelligence and robotics via games
D Wong, R Zink, S Koenig
First AAAI Symposium on Educational Advances in Artificial Intelligence, 2010
332010
Paver: Locality graph-based thread block scheduling for gpus
D Tripathy, A Abdolrashidi, LN Bhuyan, L Zhou, D Wong
ACM Transactions on Architecture and Code Optimization (TACO) 18 (3), 1-26, 2021
252021
BOW: Breathing operand windows to exploit bypassing in GPUs
HA Esfeden, A Abdolrashidi, S Rahman, D Wong, N Abu-Ghazaleh
2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture …, 2020
172020
Joint server and network energy saving in data centers for latency-sensitive applications
L Zhou, CH Chou, LN Bhuyan, KK Ramakrishnan, D Wong
2018 IEEE International Parallel and Distributed Processing Symposium (IPDPS …, 2018
172018
Origami: Folding warps for energy efficient gpus
M Abdel-Majeed, D Wong, J Kuang, M Annavaram
Proceedings of the 2016 International Conference on Supercomputing, 1-12, 2016
172016
Mapa: Multi-accelerator pattern allocation policy for multi-tenant gpu servers
K Ranganath, JD Suetterlein, JB Manzano, SL Song, D Wong
Proceedings of the International Conference for High Performance Computing …, 2021
162021
Blockmaestro: Enabling programmer-transparent task-based execution in gpu systems
AA Abdolrashidi, HA Esfeden, A Jahanshahi, K Singh, N Abu-Ghazaleh, ...
2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture …, 2021
152021
The system can't perform the operation now. Try again later.
Articles 1–20