Follow
Zhewei Jiang
Title
Cited by
Cited by
Year
XNOR-SRAM: In-memory computing SRAM macro for binary/ternary deep neural networks
S Yin, Z Jiang, JS Seo, M Seok
IEEE Journal of Solid-State Circuits 55 (6), 1733-1743, 2020
4502020
C3SRAM: An in-memory-computing SRAM macro based on robust capacitive coupling computing mechanism
Z Jiang, S Yin, JS Seo, M Seok
IEEE Journal of Solid-State Circuits 55 (7), 1888-1897, 2020
1982020
Vesti: Energy-efficient in-memory computing accelerator for deep neural networks
S Yin, Z Jiang, M Kim, T Gupta, M Seok, JS Seo
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 28 (1), 48-61, 2019
582019
C3SRAM: In-memory-computing SRAM macro based on capacitive-coupling computing
Z Jiang, S Yin, JS Seo, M Seok
IEEE Solid-State Circuits Letters 2 (9), 131-134, 2019
582019
Ktan: knowledge transfer adversarial network
P Liu, W Liu, H Ma, Z Jiang, M Seok
2020 International Joint Conference on Neural Networks (IJCNN), 1-7, 2020
352020
K-nearest neighbor hardware accelerator using in-memory computing SRAM
J Saikia, S Yin, Z Jiang, M Seok, J Seo
2019 IEEE/ACM International Symposium on Low Power Electronics and Design …, 2019
302019
A neuromorphic neural spike clustering processor for deep-brain sensing and stimulation systems
B Zhang, Z Jiang, Q Wang, JS Seo, M Seok
2015 IEEE/ACM International Symposium on Low Power Electronics and Design …, 2015
222015
Static random-access memory for deep neural networks
J Seo, S Yin, Z Jiang, M Seok
US Patent 11,170,292, 2021
132021
An energy-efficient and high throughput in-memory computing bit-cell with excellent robustness under process variations for binary neural network
G Saha, Z Jiang, S Parihar, C Xi, J Higman, MAU Karim
IEEE Access 8, 91405-91414, 2020
132020
XNOR-SRAM: In-bitcell computing SRAM macro based on resistive computing mechanism
Z Jiang, S Yin, J Seo, M Seok
Proceedings of the 2019 on Great Lakes Symposium on VLSI, 417-422, 2019
122019
Blacklist core: Machine-learning based dynamic operating-performance-point blacklisting for mitigating power-management security attacks
S Zhang, A Tang, Z Jiang, S Sethumadhavan, M Seok
Proceedings of the International Symposium on Low Power Electronics and …, 2018
102018
1.74-µW/ch, 95.3%-accurate spike-sorting hardware based on Bayesian decision
Z Jiang, JP Cerqueira, S Kim, Q Wang, M Seok
2016 IEEE Symposium on VLSI Circuits (VLSI-Circuits), 1-2, 2016
102016
A low power unsupervised spike sorting accelerator insensitive to clustering initialization in sub-optimal feature space
Z Jiang, Q Wang, M Seok
Proceedings of the 52nd Annual Design Automation Conference, 1-6, 2015
92015
Circuits and methods for in-memory computing
M Seok, Z Jiang, J Seo, S Yin
US Patent 11,355,167, 2022
82022
A 10-output, single-inductor-multiple-output DC–DC buck converter with integrated output capacitors for a sub-mW system-on-chip
D Kim, SJ Kim, Z Jiang, S Kim, A Blanco, RK Krishnamurthy, M Seok
IEEE Solid-State Circuits Letters 4, 56-59, 2021
72021
A 0.78-µw 96-ch. deep sub-vt neural spike processor integrated with a nanowatt power management unit
J Li, PK Chundi, S Kim, Z Jiang, M Yang, J Kang, S Jung, SJ Kim, M Seok
ESSCIRC 2018-IEEE 44th European Solid State Circuits Conference (ESSCIRC …, 2018
72018
Microwatt end-to-end digital neural signal processing systems for motor intention decoding
Z Jiang, C Bae, J Kang, SJ Kim, M Seok
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017 …, 2017
62017
Three-port memory cell and array for in-memory computing
Z Jiang, MAUL Karim, X Cao, V Joshi, JM Higman
US Patent 10,964,362, 2021
52021
Cases for analog mixed signal computing integrated circuits for deep neural networks
M Seok, M Yang, Z Jiang, AA Lazar, JS Seo
2019 International Symposium on VLSI Design, Automation and Test (VLSI-DAT), 1-2, 2019
52019
Vesti: An in-memory computing processor for deep neural networks acceleration
Z Jiang, S Yin, M Kim, T Gupta, M Seok, J Seo
2019 53rd Asilomar Conference on Signals, Systems, and Computers, 1516-1521, 2019
12019
The system can't perform the operation now. Try again later.
Articles 1–20